导图社区 半导体设备详细导图
二极管加正向电压(正向偏置,阳极接正、阴极接负)时,二极管处于正向导通状态,二极管正向电阻较小,正向电流较大。
编辑于2022-08-10 14:05:32 福建省半导体设备
半导体设备概览
市场规模
全球1026亿美元
同比↑44%
大陆296.2亿美元
同比↑58%
历史市占
2013以前
10%以内
2014-2017
10-20%
2018后
20%以上
目前市占
28.9%,世界首位
全球设备五强
前道工艺(刻蚀 沉积 涂胶 热处理 清洗)
AMAT
LAM (拉姆研究)泛林半导体
TEL (东京电子)
光刻机龙头
ASML 市占率80%
过程控制龙头
KLA 市占率50%
五大厂商 2019 年半导体设备收入合计472 亿美元,占全球市场约 78%。
硅片制备
硅晶体制备
多晶硅分类
太阳级
6N
电子级
9N-11N
纯度要求相当高,基本要求为太阳级千倍
日美德多晶硅技术领先,产品以电子级为主,中国以太阳能级为主,电子级和太阳能高端领域用料主要依靠进口
多晶硅产业链宏观数据
全球多晶硅产量:64.2万吨
中国多晶硅产量:50.6万吨 中国占比:78%
全球太阳级多晶硅产量:块状58.4万吨 90.9% 颗粒硅2.1万吨 3.3%
全球电子级多晶硅产量:3.7万吨 5.8%
中国产能增加主要来自于通威、保利协鑫等新建产线投产,中硅高科、聚光硅业等复产,在产企业设法提高产能利用率,部分企业产量甚至超过名义产能。
硅料设备成本
大全能源 35000 吨多晶硅项目总投资约为 10.03 亿元/万吨;新特内蒙古 10 万 吨多晶硅项目(一期)总投资额约为 8.58 亿元/万吨。
根据大全能源 35000 吨多晶硅项目投资明细,在总投资 35 亿元的项目中,设备购置金额约 17.3 亿元,占比 49.2%
制备方法
改良西门子法(主流)
第三代生产工艺即改良西门子法,它在第二代的基础上增加了还原尾气干法回收系统、SiCl4回收氢化工艺,实现了完全闭环生产,是西门子法生产高纯多晶硅技术的最新技术
目前在安全性上远超硅烷流化床法,短期内其生产成本也低于硅烷法。在其他技术没有重大突破的前提下,改良西门子法有望在较长时间内保持其竞争优势。
2020年国内采用改良西门子法生产的多晶硅约占全国总产量的97.2%。
硅烷流化床法
主要优势为转化率高、能耗低、可连续生产、副产物污染小,但由于安全性较差、炉壁沉积、流态化控制、产品纯度控制等问题尚未实现大规模应用。
物理冶金法
设备简单、能耗低、投资小、但纯度低
目前基本已经被淘汰。
制备流程
沙子(石英矿石) 主要成分SiO2
+碳 高温提炼,氧化反应
=高纯度硅晶体
高纯度硅晶体 纯硅 工业硅(98%硅)
粉碎纯硅并用无水氯化氢(HCI)与之反应在一个流化床反应器中, 生成拟溶解的三氯氢硅(SiHCls)。
三氯氢硅(SiHCls)
第二步骤中产生的气态混合物还需要进一步提纯,需要分解:过滤硅粉,冷凝SiHCls,SiC14,而气态 H2.HCl返回到反应中或排放到大气中。然后分解冷凝物SiHCls,SiC14,净化三氯氢硅(多级精馏)。
净化后的三氯氢硅
净化后的三氯氢硅采用高温还原工艺(还原炉中进行),以高纯的SiHCIs在H2气氛中还原沉积而生成多晶硅。其化学反应为:
使用设备
还原炉
上市公司
双良节能
多晶硅还原炉龙头
主营业务:
溴冷机(热泵) 31.19%
多晶硅还原炉:25.55%
空冷器:24.9%
换热器:10.71
单晶硅:6.14%
东方电热
主营业务:
家用电器用电加热器 49.94%
光通信钢铝塑复合材料:25.71%
新能源装备:14.58%
公司已披露了2022年半年度业绩预告,对公司上半年业绩影响最大的是新能源装备业务, 主要是多晶硅还原炉和辐射式电加热器产品, 这块业务在手订单饱满,去年的在手订单绝大部分将在今年陆续确认, 年初签的合同也有少部分希望在今年确认
锂电池钢壳材料:4.11%
新能源汽车用电加热器:3.69%
公司新能源汽车PTC电加热器现有理论产能25万套/年, 根据客户订单需要可适当增加生产班次, 提高实际产量。 由于目前产能有限, 公司的策略是保重点客户(如比亚迪、零跑等) 。年底理论产能有望达到200万套
单晶硅制备
制备方法
直拉法(CZ)【主流】
制备流程
1、加热:使用电阻或射频加热线圈, 将多晶硅加热至熔化
2、接入籽晶:再使用籽晶硅接触直拉装置与液体硅表面接触
3、凝固生长:由于温度差异, 液体硅在晶种表面凝固, 并生长产生相同晶体结构的单晶
4、旋转拉升 得到单晶硅棒:同时, 晶种以极缓慢的速度往上拉升,并伴随以一定的转速旋转,最终形成单晶晶棒
区熔法(FZ)
制备流程见图
特性
由于技术限制,区熔法仅能生产 8 寸(200mm)及以下的硅片,且成本、产量、杂质控制等指标均不如直拉法,因而目前市场主流工艺均采取直拉法。 区熔法生产的单晶硅大多用于功率晶体管、太阳能电池等。
使用设备
单晶炉
厂商
海外
德国PVA TePla AG、德国Gero(盖罗)、美国QuantumDesign(量子设计)、美国kayex、美国GT Advanced Technologies、日本Ferrotec等
国内
晶盛机电、南京晶能、北方华创、京运通、华盛天龙
上市公司
晶盛机电
国内单晶炉绝对龙头
公司目前是国内仅有几家掌握12英寸半导体单晶炉、8英寸区熔炉厂商之一
公司目前基本实现8英寸晶片端长晶到加工的全覆盖,且已实现量产和批量出货;12英寸单晶硅生长炉、滚磨设备、截断设备、研磨设备、边缘抛光设备已通过客户验证,并取得良好反响,12英寸单晶硅生长炉及部分加工设备已实现批量销售,其他加工设备也陆续客户验证中。
连城数控
京运通
奥特维
串焊机龙头
北方华创
热场设备(单晶炉细分)
碳基复材替代石墨趋势
碳基复材热场性能优于石墨热场,在大尺寸的趋势下碳基复材热场相对等静压石墨的经济性提升 碳基复材热场主要是国产,免去了进口耗材对行业发展的延误影响。
设备价值量分类
坩埚
57%
导流筒
22
保温桶
10
异形件
10
上市公司
金博股份
未解决:下游情况
中国单晶硅市占比
太阳级单晶硅
市占97.3%
电子级单晶硅
市占不足5%
切割 研磨 抛光
切磨抛工艺流程
1.截段
掐头去尾,头尾部分可以循环利用
四探针法检测杂质浓度后
切成几个30cm左右的硅段
2、滚磨
硅段固定在机器用侧边金刚石砂轮滚磨
过程中发热 需要持续加水降温
目的:得到固定尺寸(8 12)的硅段
3.研磨定位边(槽)
在硅段侧面再磨出一个平面(定位边)
定位边作用1:标明晶型和晶向
定位边作用2:帮助光刻机做定位及校准
4.切片【切片机 金刚线】
从硅段切出圆晶,目前主要用金刚石多线切割机,母线主要用碳钢丝
5、磨片
12英寸硅片通过磨片磨到775μm的厚度
可能工序:背损伤
人为制造粗糙背部(喷砂,沉积一层多晶硅)将后续工艺不想要的杂质困在底层保护上层器件
6.倒角
将硅片边缘的直角磨成圆弧形
之后
打激光标识码
精磨
去除10μm左右的厚度
倒角作用
1.由于高纯度硅硬脆的性质,倒角后可降低发生崩裂的风险
2.光刻时光刻胶是用旋转方式涂抹在硅片表面,倒角能避免因离心力使光刻胶在边缘沉积,造成厚度不均影响光刻的风险
3.倒角能避免在外延生长时沉积物优先堆积在直角边,影响沉积效果的风险
7.刻蚀
放入溶剂中进行化学刻蚀
通常使用氢硝酸和氢氟酸腐蚀掉表面20-50μm左右的厚度
作用:去除之前打磨过程中的机械损伤及混入硅片表层的磨料
8.化学机械抛光(CMP)
将硅片装在旋转的抛光仪器上
表面会先被研磨液化学氧化 再被抛光垫物理打磨
硅片厚度会再被打薄5μm左右
8英寸硅片通常单面抛光
12英寸硅片通常双面抛光
9.湿法清洗
用去离子水和各种化学溶剂清洗
目的:去除粘附表面的各种尘埃和杂质
原因:颗粒物会造成器件短路开路
污染物密度 颗粒大小都有严格规定
10.检测 包装
检测各类核心指标
平整度
清洁度
翘曲度
氧含量
金属残余量
电镜检查
光学检测
装入充满氮气的密封盒内送往晶圆厂
切割设备
切片机
导轮轴间距以及切割线速度是体现金刚线切割设备的两项关键指标
子主题
公司
高测股份
公司在切割设备和金刚线耗材方面均处于行业领先地位
公司目前光伏行业的营业收入占总营业收入的 90%以上。2009 年公司将金刚线切割应用于轮胎检测领域,2011 年开始将金刚线技术研发以应用于光伏硅材料切割并于 2015 年正式进军,2017 年公司开始研发蓝宝石、磁材、半导体材料切割。除设备之外,公司业务拓展至耗材和代工服务,2016 年公司上市光伏切割耗材金刚线,将 2021 年公司开始提供光伏硅材料的切片代工服务,新业务为业绩提供新的增长点。
上机数控
公司在专用光伏设备领域为光伏金刚线切片机三寡头之一,在单晶硅领域为 210 大尺寸硅片龙头
连城数控
2011 年研发出单晶硅和多晶硅切片机,2018 年研发出 24 英寸半导体级单晶炉。
公司与全球最大的单晶硅生产制造商隆基股份合作紧密,公司实际控制人之一为隆基股份董事长。隆基股份是公司的第一大客户,2020 年公司的销售收入中隆基占比高达 93%。
重要细分耗材:金刚线
材料分类
母线
钨丝母线
碳钢丝
金刚石颗粒
材料市场特点
目前金刚石线切割成为硅片切割的主流技术,完成了全面的国产替代。
切割线一旦新技术突破的话,原有业务基本上就废掉了
钨丝的替代可能
目前有超越金刚石线切割的是钨丝线,性能好,但是成本太高,还不具备商业替代能力。成本降下来的话,可能会全面替代金刚石线切割
基本上其它公司维持在1~3亿的年规模,美畅股份2021年是18.5亿。毛利率上,美畅股份是55%,恒星科技切入不久,毛利率42%排第二,第三是高测股份,其他的都比较低。
公司
美畅股份 2021 54%市占
金刚线绝对龙头,钨丝线储备中
拥有业内最低的材料成本和制造成本
归因:行业天花板较小,且规模效应强大
2021年产能7000万公里,今年产能超过1亿公里,整个市场规模也就1亿多公里,美畅股份产能全部释放的话,可以包完。目前美畅股份的市场份额是54%,2022年的规划是超过60%。
高测股份
东尼电子
钨丝
恒星科技
三超新材
岱勒新材
小批量试生产钨丝切割线
CMP(化学机械研磨)设备 3%
CMP设备 32%
抛光次数倍数级增成熟制程 90nm 工艺 CMP 步骤为 12 步,先进制程 7nm 工艺的 CMP 步骤提高到 30 步
市场规模
2020年 18.4亿美元
预计21年中国市场7.6亿美元
全球市场
美国应用材料 70%
日本荏原 25%
国内公司
华海清科(CMP设备龙头)
目前国内唯一实现了 12 英寸 CMP 设备量产销售的半导体设备供应商,在已量产的制程(14nm 以上)及工艺应用中已经可以实现对行业龙头公司产品的替代
在国内的主要晶圆厂,华海清科份额快速提高。 在长江存储、华虹无锡、上海华力一二期项目、上海积塔 CMP 设备采购项目中, 公司 2019-2021 三年分别中标 8 台、 33 台、 27 台,中标占比分别为21.05%、 40.24%、 44.26%,中标率连年提升。
北京烁科
设备细分部分
抛光头
通常具有真空吸附装臵用于吸附晶圆,防止晶圆在抛光过程中产生位移,同时向下施加压力。
研磨盘
起到对晶圆的支撑作用,承载抛光垫并带动其转动并对抛光头压力大小、转动速度、开关动作等进行控制。
清洗刷
用于 CMP 后清洗环节,在 CMP 后去除颗粒和其他化学污染物,分为清洁—冲洗—干燥环节,保证晶圆干进干出。
终点检测设备
终点检测设备用于检测 CMP 工艺是否把材料磨到正确的厚度,避免过薄(未起到抛光作用)及过厚(损失下层材料)带来的负面影响,通常使用电性能及光学两种测量方式
耗材 68%
全球市场规模 2020
抛光液 16.6亿美元
抛光垫 10.2亿美元
中国市场规模
30亿元
CMP抛光液 49%
市场规模 2020年 16.6亿美元
公司
鼎龙股份
安集科技
是研磨材料和化学添加剂的混合物,可使晶圆表面产生一层氧化膜,再由抛光液中的磨粒去除,达到抛光的目的
全球市占率
Cabot 36%
2000年 80%(逐年下降)
随着制程的演进,抛光液的种类不断朝多元化方向扩展,由原先的 4-5种已逐渐发展到 30 余种,技术难度也变得较为复杂,客户的需求逐渐多样化,龙头公司很难在所有细分领域掌握核心技术形成垄断,地区本土化自给率提升,给新进入者切入市场的机遇与挑战
日立Hitachi 15%
FUJIMI 11%
Versum 10%
安集科技 2%
国内市占率
Cabot 36%
安集科技 13%
公司目前化学机械抛光液已在 130-28nm 技术节点实现规模化销售, 14nm 技术节点产品已进入客户认证阶段, 10-7nm 技术节点产品正在研发中。
日立Hitachi 12%
FUJIMI 5%
惠瞻材料 4%
富士胶片 3%
陶氏 2%
CMP抛光垫 33%
市场规模 2020年 10.2亿美元
全球市占情况
陶氏 79%
Cabot 5%
Thomas West 4%
FOJIBO 2%
捷时雅 1%
国内厂商
鼎龙股份
鼎龙股份率先突围,成为 CMP 抛光垫唯一本土供应商,打破国外垄断局面。
2020 年 CMP 抛光垫产品已经导入国内领先下游存储芯片、功率芯片以及逻辑芯片等重要晶圆制造商,其中公司的 28nm 以上抛光垫获得国内存储大厂商量产订单。 22 年 3 月公司氧化铝抛光液产品也通过客户认证,进入吨级采购阶段,实现了关键材料的自主制备
国内市占率由 2020 年不到 10%增长到 2021 年的15%。公司抛光垫产品实现了成熟制程及先进制程的 100%全覆盖。
主要作用是储存和运输抛光液、去除磨屑和维持稳定的抛光环境等抛光垫由多孔、有弹性的聚合物材料组成,具有类似海绵的机械特性和多孔特性,且表面有特殊的沟槽,可提高抛光均匀性。其主要作用是储存和运输抛光液、去除磨屑和维持稳定的抛光环境,使抛光均匀。
CMP 钻石碟
是 CMP 工艺中必不可少的耗材,用于维持抛光垫表面一定的粗糙状态,通常与 CMP 抛光垫配套使用。
CMP 清洗液 5%
主要用于去除残留在晶圆表面的微尘颗粒、有机物、无机物、金属离子、氧化物等杂质,满足集成电路制造对清洁度的极高要求,对晶圆生产的良率起到了重要的作用。
晶盛机电
国内单晶炉绝对龙头
公司目前是国内仅有几家掌握12英寸半导体单晶炉、8英寸区熔炉厂商之一
公司目前基本实现8英寸晶片端长晶到加工的全覆盖,且已实现量产和批量出货;12英寸单晶硅生长炉、滚磨设备、截断设备、研磨设备、边缘抛光设备已通过客户验证,并取得良好反响,12英寸单晶硅生长炉及部分加工设备已实现批量销售,其他加工设备也陆续客户验证中。
前道工序
清洗设备 4%
随着半导体技术的不断进步,半导体器件集成度不断提高,清洗的步骤大幅提高。90nm的芯片清洗工艺约90道,到了20nm清洗工艺达到215道。随着芯片进入16nm以及7nm以下,清洗工艺的道数将会加速增长。
清洗的步骤占据整个晶圆制造工艺的 30%,因此清洗的工序数量随着技术节点的精进而增加
市场规模
2018年 34亿美元
行业景气度下降,市场规模逐年下降
2020年 25亿美元 4%
国产化进程:20%(主要为盛美上海)
设备工艺分类
湿法清洗 占据绝大多数份额
单片清洗设备 74.5%
槽式清洗设备18.%
单片槽式组合
批式旋转喷淋
干法清洗(先进制程使用)
等离子体清洗
气相清洗
束流清洗
洗刷器 6.8%
国外公司
日本迪恩士 45%
东京电子20%
细美事14.6%
拉姆研究 泛林半导体13.4%
国内公司
盛美上海【清洗机龙头】 4%
具备14纳米以上设备技术,储备5-7纳米技术
至纯科技
槽式装备
芯源微
具备28纳米以上清洗设备技术,储备14纳米技术
北方华创
从清洗效率来看,以单片式为例,盛美股份Ultra C单晶圆清洗系列8腔体产能可达225片/小时,12腔体产能可达375片/小时;至纯科技单片式清洗ULTRON系列8腔体最高产能可达295片/小时,12腔体最高产能可达590片/小时。
热处理 2.5-3%
工艺分类
氧化
将硅片放置于氧气或水汽等氧化剂的氛围中进行高温热处理,在硅片表面发生化学反应形成氧化膜的过程。
扩散(同掺杂里的热扩散)
扩散是指在高温条件下,利用热扩散原理将杂质元素按工艺要求掺入硅衬底中,使其具有特定的浓度分布,从而改变硅材料的电学特性。
退火
退火是指加热离子注入后的硅片,修复离子注入带来的晶格缺陷的过程。
设备分类
快速升温炉(RTP) 46%
最早用于离子注入后退火,之后扩展到氧化金属硅化物的形成和快速热化学气相沉积和外延生长等更宽泛的领域
卧式炉
立式炉
市场规模
2020年 15.4亿美元
快速热处理设备市场规模为7.2亿美元
氧化/扩散设备市场规模约5.5亿美元
栅极堆叠(GateStack)设备市场规模为2.7亿美元。
FinFET器件
竞争格局
氧化扩散炉
AMAT 40%
TEL 20%
日立国际 19%
ASML 5%
屹唐股份 5%
迪恩士 4%
快速升温炉(RTP)
AMAT 70%
屹唐股份 11%
国际电气 9%
维易科 6%
斯库林 4%
国内厂商
北方华创
北方华创的 THEORIS 302/FLOURIS 201 立式氧化炉可以覆盖 8 吋、 12 吋 28nm 及以上的集成电路、 先进封装、 功率器件。
屹唐股份
屹唐股份主要热处理产品为快速热处理(RTP) 以及毫秒级快速热处理(MSA) , 产品已覆盖台积电、 三星电子、 中芯国际、 华虹集团、 长江存储等国内外知名厂商。
薄膜沉积 27%
市场规模
2020 172亿美元
IC中的薄膜类型
介电质薄膜(SiO2、SiN)
用来隔离导电层、作为扩散及离子注入的掩蔽膜,或是防止掺杂物的流失,或用来覆盖器件免受杂质、水汽或刮伤的损
多晶硅
MOS器件的栅淀积材料,多层金属导通材料或接触材料
金属薄膜(铝、铜或金属硅化物)
形成低电阻值金属连线。
【互连技术】
集成电路互连技术就是将同一芯片内各个独立的元器件通过一定的方式,连接成具有一定功能的电路模块的技术。集成电路对互连金属材料的要求有:1.具有较小的电阻率,2.易于沉积和刻蚀,3.具有良好的抗电子迁移特性;
局部互连
局部互连通常很薄且长度很短,
接触孔
在逻辑器件中,晶体管接触点和局部互连形成晶体管和电路其余部分之间的关键电通路。因此,低电阻率对于稳健可靠的器件性能至关重要。25年以来,低电阻率导电材料钨(W)被用于逻辑接触和局部互连填充。
摩尔定律的推进导致局部互连填充与接触孔材料从钨向钴转化
全局互连
全局互联在电路的不同块之间进行电流传输,因此全局互联线路通常很厚很长并且被广泛分开。互连级别之间的连接(称为通孔)允许信号和功率从一层传输到下一层。
三种镀膜工艺
PVD物理气相沉积 20%+
生长机理简单 沉积效率高 不适用三维复杂衬底表面沉积
溅射PVD 21%占比 30亿美元
应用材料一家在溅射PVD设备独占87%的市场份额,具有绝对统治力
耗材:溅射靶材
市场规模
分类
半导体靶材
2019全球市场空间28.7亿美元
2019中国市场规模 47.7亿元
使用的溅射靶材主要包括铝靶、 钛靶、铜靶、钽靶、钨钛靶等
平板显示靶材
在应用最广泛的 TFT-LCD 生产过程中,溅射靶材主要用于薄膜晶体管(TFT) 和彩色滤光片(CF)的制备。
主要品种有:钼靶、铝 靶、铝合金靶、铬靶、铜靶、铜合金靶、硅靶、钛靶、铌靶和氧化铟锡(ITO)靶 材等。
光伏靶材
较为常用的溅射靶材包括铝靶、铜靶、钼靶、铬靶以及 ITO 靶、AZO 靶(Aluminum Zinc Oxide,氧化铝锌)等,纯度要求一般在 99.99% 以上,其中,铝靶、铜靶用于导电层薄膜,钼靶、铬靶用于阻挡层薄膜,ITO 靶、 AZO 靶用于透明导电层薄膜。
竞争格局
日矿金属 30%
霍尼韦尔 20%
东曹 20%
普莱克斯 10%
国内公司
江丰电子
CVD化学气相沉积 64%
均匀性重复性台阶覆盖性好 但难以满足薄膜均匀性和薄厚精确控制
细分
PECVD 33%占比 47亿美元
结构分类
等离子体增强CVD
高密度等离子体CVD(HDPCVD)
工作原理是在真空腔中施加射频功率使气体分子分解为等离子体。等离子体的作用是触发化学反应,并提供维持CVD淀积所需的能量和热量。发生在硅片以外的沉积较少,停工清洗时间更短。
引入等离子体有效降低了沉积工艺的热预算,同时提升了沉积速率和对高深宽比孔隙的填充能力。
管式CVD(管式炉)10%占比 14亿美元
APCVD
常压CVD
连续APCVD系统有高的设备产量、优良的连续性以及制造大直径硅片能力。问题在于较高的气体消耗量,并且需要经常清洁反应腔和传送装置。
主要用于淀积SiO2和掺杂的氧化硅(如PSG,BPSG,FSG)薄膜作为层间介质(ILD),起到保护性覆盖物或表面平坦化的作用。
LPCVD
低压CVD
已逐渐被应用更广的等离子体和原子层沉积技术超过。
非管式LPCVD 11%
MOCVD 4%
金属有机物CVD
次常压化学气相沉积(SACVD)
ALD原子层沉积 13%
优异性能,可精确控制薄膜厚度,但效率低 台阶覆盖率有优势
NAND闪存由2D变3D 驱动ALD设备需求量增加
ALD基于化学吸附和顺次反应的的自限性质,可以实现以单层原子作为厚度单位的薄膜沉积。据Gartner预测,到2024年等离子体CVD和ALD将分别占到CVD设备市场的51%和19%。
分类
PEALD (主要沉积介质薄膜,用于 SADP、 STI 工 艺)
高端储存应用
Thermal ALD(主要沉积金属化合物薄膜,用于HKMG 工艺)
PVD CVD均有较高成膜效率 每分钟几微米 ALD效率仅每分钟几纳米
设备公司
薄膜沉积市场三巨头
Applied Materials(应用材料) 30%
应用材料一家在溅射PVD设备独占87%的市场份额,具有绝对统治力
PECVD中也有近49%的份额
Lam Research(泛林半导体 拉姆研究) 21%
泛林在LPCVD和电镀设备市场占据较高的份额
PECVD中也有近34%的份额
Tokyo Electron(TEL 东京电子) 19%
东京电子在管式CVD设备市场占有率达46%
ALD 31%
ASM International
导体设备巨头ASMI则在适用于先进制程的原子层沉积(ALD)具备较强的技术储备,在相应细分市场占有率29%。
管式CVD设备市场占有率达3%
Kokusai Electric
管式CVD设备市场占有率达51%
其他国外厂商
Wonik IPS
Eugene Technology
Jusung Engineering
TES
SPTS Technologies (KLA)
Veeco
CVD Equipment
拓荆科技
北方华创
国内公司
拓荆科技【全设备皆为薄膜沉积设备】
2022 年 1-5 月,拓荆科技在国内薄膜沉积设备中标份额快速上升到 14%,领先于其他国内厂商。
目前, 公司正在实施 ALD 相关(与长江存储合作)、先进工艺 PECVD 相关(与长鑫存储合作)的国家科技重大专项,预计将帮助公司进一步突破高端薄膜沉积设备市场
以 CVD 技术为主,其中 PECVD 开发较早也较为成熟,可实现对国外厂商的部分替代, 产品进入中芯国际、华虹、长江存储等一线厂商。 SACVD 和 ALD 机台已经开发出并少量供货
产品矩阵
PECVD
主力产品 占比90%
应用于半导体前道制造
SACVD
占比5% 近年新研制产品
产业化应用;用于 STI 沟槽填充等
ALD
占比3.78%
PEALD (主要沉积介质薄膜,用于 SADP、 STI 工艺)
北方华创
在沉积领域 PVD 技术最强, 可实现对应用材料设备的部分替代, 产品批量供应一线厂商。 CVD 领域拥有 LPCVD、 APCVD 等技术,主要是 8 英寸以下设备。 ALD 设备也有开发,并实现少量供货。
PECVD
批量销售;主要应用于 LED、MEMS、功率领域
APCVD
批量销售;用于 6、 8 英寸硅片外延
LPCVD
批量销售;用于栅极氧化层薄膜沉积
ALD
Thermal ALD 产业化应用(主要沉积金属化合物薄膜,用于HKMG 工艺)
PVD
批量销售;用于沉积金属薄膜
炉管
批量销售
盛美上海
LPCVD
SiN LPCVD 交付客户
ALD
炉管式 ALD 研发中,预计 2022 年推出
炉管
Demo 验证中,2022 年确认收入
中微公司
LPCVD
研发中
MOCVD
批量销售;用于 LED 外延片
陛通半导体(未上市)
PECVD
2021 年交付首台12 英寸 PECVD 给青岛芯恩
PVD
推出 8 英寸产品
嘉兴科民(未上市)
ALD
两种ALD设备都有
PVD
光学曝光(光刻)
工艺流程
1.圆晶清洗 涂底(沉积)
2.旋涂光刻胶
涂胶显影设备
3.前烘(软烘)
4.对准与曝光
5.后烘(PEB)
6.显影冲洗
涂胶显影设备
7.坚膜(硬烘)
设备
光刻机 20%
光刻机分类
EUV
目前最高端
22-7纳米
DUV
ArFi
45-22纳米
ArF
135-65纳米
KrF
180-135纳米
i line
800-250纳米
国际三大光刻机巨头
ASML
最高端机型EUV只有ASML能做
2020,EUV、ArFi、ArF三个高端机型出货量145台,占比95.4%
EUV 42台
100%
ArFi 81台
96%
ArF 22台
88%
Nikon(尼康)
2020,EUV、ArFi、ArF三个高端机型出货量7台,占比4.6%
ArFi 4台
ArF 3台
Canon(佳能)
涂胶显影设备 3%
市场份额 20年 19亿美元
特点
显影的精度即为光刻的精度,因此涂胶显影设备对关键制程的形成也十分重要。
除了前道的 EUV 光刻带来的高端增量以外,相对低端后道封测、 LED 制造等用的涂胶显影设备也存在市场增量。
国际市场份额
东京电子TEL一家独大 87%
中国市场份额
东京电子市占超90%
芯源微国内市占4%
国内公司
芯源微
用于前道晶圆制造的涂胶显影设备尚处于新进阶段,产品有发往上海华力、 长江存储、中心绍兴、上海积塔等多个客户验证,有部分产品通过验证并获得订单。芯源微目前的主要产品为用于后道先进封装和 LED 制造等的涂胶显影设备, 产品进入主流大客户。
耗材
光刻胶
分类
正性光刻胶(正胶)
光照射后感光部分分解反应 可溶于显影液 保留未感光部分
负性光刻胶(负胶)
曝光后形成交联网格结构 显影液中不可溶 未感光部分溶解
公司
彤程新材
南大光电
上海新阳
飞凯材料
面板光刻胶已在高端的湿膜光刻胶领域通过下游厂商验证
容大感光
PCB感光油墨 光刻胶 配套化学品
永太科技
强力新材
测量检查(前道检测)11-13%
工艺流程
8.测量检查
不合格
去胶后重新清洗圆晶进行曝光工艺
合格
进入图形转移工艺流程
检测芯片线宽、膜厚、距离差、杂质、缺陷(光学检测)
分类
缺陷检测类 55%
用来检测晶圆表面的缺陷
技术路径
光学技术
传统检测技术以光学检测为主,通过光学成像原理对相邻的晶圆进行比对,可以在短时间内进行大范围检测。
电子束技术
但随着半导体制程不断缩减,光学检测在先进工艺技术的图像识别的灵敏度逐渐减弱,因此电子束检测技术在先进工艺中使用较多。
电子束技术不受某些表面物理性质的影响,且可以检测很小的表面缺陷,如栅极刻蚀残留物等,相较于光学检测技术,电子束检测技术灵敏度较高,但检测速度较慢,因 此在针对先进制程芯片的生产流程时,会同时使用光学检测与电子束检两种技术互相辅助, 进而快速找到晶圆生产的缺陷并控制和改善。
分明/暗场光学图形图片缺陷检测设备、无图形表面检测设备、宏观缺陷检测设备
图形晶圆检测 32%
无图形晶圆检测 5%
电子束检测 11%
宏观缺陷检测 6%
量测类 34%
主要用来 测量透明薄膜厚度、不透明薄膜厚度、膜应力、掺杂浓度、关键尺寸、套准精度等指标
对应的设备:椭偏仪、四探针、原子力显微镜、CD-SEM、OCD 设备、薄膜量测等
膜厚测量 12%
OCD测量 10%
形貌测量 6%
套刻误差测量 9%
CD-SEM测量 12%
过程控制软件 11%
工艺分类
前道量测设备占量测设备的60%市场占比
工艺流程控制设备
市场规模
2020年全球76.5亿美元
2020年中国21亿美元
竞争格局
科磊半导体 KLA 52%
应用材料 AMAT 12%
日立子公司日立高新 Hitachi 11%
其他 25%
国内公司
精测电子(子公司上海精测)
公司现已形成了膜厚/OCD 量测设备、电子束量测设备、泛半导体设备三大产品系列。上海精测膜厚产品(含独立式膜厚 设备)已取得国内一线客户的批量重复订单,上半年公司实现首12 寸晶圆外观缺陷检测设备交付,首台独立式 OCD 设备与 Review SEM 出机。
上海睿励(睿励科学仪器)
公司产品深耕量测领域,主要产品包括 TFX3000 系列膜厚量测设备,TFX3000 OCD 光学关键尺寸(OCD)和形貌测量系统,FSD300 自动宏观缺陷检测系统及 WSD200 光学缺陷检测设备.公司股东包括中微公司(20.45%)、浦东科创(15.04%)、张江科投(11.13%)、 国家大基金(8.78%)、上海创投(4.95%)、上海国盛(3.35%)等一众知名产业投资机构。
中科飞测
公司的晶圆表面颗粒检测机成功进入中芯 国际生产线,智能视觉检测系统成功进入长江存储生产线,椭偏膜厚量测仪进入士兰微生产线。
东方晶源
公司产品主要覆盖三大领域即 OPC(计算光刻产品)、EBI(电子束缺陷检测)及 CD-SEM (关键尺寸量测),目前公司已实现国内首台套 EBI 设备在客户主流制程的验证,完成国内 首台 CD-SEM 的研发并于今年交付中芯国际,为目前 EBI 与 CD-SEM 领域填补关键空缺。
2020年度,前道量检测设备国产率仅为2%。
后道中测和后道终测占量测设备40%市场占比
2020年 60.1亿美元
自动检测设备(ATE)
测试机 63.1%
目前全球与国内半导体测试设备市场中,泰瑞达(Teradyne)与爱德万(Advantest)均占据垄断地位,主要产品为 SoC 与存储器测试机。
后测环节核心仪器。在测试环节中,测试机占据着最为重要的地位,主要通过计算机自动控制,实现对半导体器件的电路功能、电性能参数的检测。
存储器测试机和 SoC 测试机分别占比 43.8%、23.5%,为主要的测试机类别。
分类
存储器测试机 43.8%
SOC测试机 23.5%
数字测试机 12.7%
模拟测试机 12%
模拟/混合测试机已实现国产替代,根据 2020 年销售额测算,华峰测控与长川科技的市占率合计已超过 80%;
分选机 17.4%
进行芯片筛选、分类的设备。在 FT 测试环节中,分选机负责将输入的芯片按照系统设计的取放方式运输到测试机上完成电路压测,并根据测试结果对芯片进行取舍和分类。
2020 年分选机全球市场规模 约为 9.3 亿美元,与测试机、探针台相比,竞争格局较为分散
前五大企业为科休、Xcerra (已被科休收购)、爱德万、鸿劲精密和长川科技,2018 年 CR5 为 59%,市场规模最大 的科休份额为 21%,国内企业长川科技占比 2%。
分类
重力下滑式(Gravity)分选机
转塔式(Turret)分选机
平移拾取和放置式(Pick and Place)分选机
探针台 15.2%
晶圆输送与定位任务的承担者,检测半导体芯片电、光参数的关键设备。
国际竞争格局
目前全球与国内半导体测试设备市场中,泰瑞达(Teradyne)与爱德万(Advantest)均占据垄断地位,主要产品为 SoC 与存储器测试机。由于双寡头产品线丰富、技术领先显著,2018 年合计在全球、中国市场份额中分别占 到 90%、82.0%。
爱德万
泰瑞达
科利登
柯休
国内公司
华峰测控 6.1%
长川科技 2.4%
金海通
中国的华峰测控与长川科技为国内规模最大的两家企业,产品以模拟/ 混合测试系统为主,分别占中国集成电路测试机市场份额的 6.1%和 2.4%。
图形转移
刻蚀 20%
竞争格局
2020年全球刻蚀设备市场规模123.3亿美元
2019年全球刻蚀设备行业前三名分别为泛林半导体(拉姆研究)、东京电子、应用材料,CR3超过90%。国内企业中,中微公司的介质刻蚀机全球领先,已经进入台积电最新工艺产线,2019年全球市占率约为1.1%。北方华创的硅刻蚀机和金属刻蚀机在国内领先,2019年全球市占率约为0.8%。
泛林半导体(拉姆研究)44.7%
东京电子28%
应用材料18.1%
日立5.2%
SEMES 2.5%
科磊半导体1.4%
中微公司1.4%
北方华创0.9%
作用
刻蚀是指用化学或物理的方法有选择地去掉晶圆片表面不需要的材料的过程,去除部分可以是沉积在晶圆片上的材料,也可以是基板材料本身。刻蚀的目的是为了精确地将光刻胶的图形复制到晶圆片上。在刻蚀过程中被光刻胶保护的晶圆表面不受到腐蚀液或其他刻蚀源的侵蚀,而未受到保护的部分则被侵蚀掉。
特性
刻蚀机重要特性:不同制程下刻蚀次数显著上升
原因:由于光刻机在20nm以下光刻步骤受到光波长度的限制,因此无法直接进行光刻与刻蚀步骤,而是通过多次光刻、刻蚀生产出符合人们要求的更微小的结构。目前普遍采用多重模板工艺原理,即通过多次沉积、刻蚀等工艺,实现10nm线宽的制程。(即使是 EUV, 波长为 13.5nm,要实现 7nm的精度, 仍需要依靠多重图形技术,即多次刻蚀。因此制程升级,精度越高,需要的刻蚀复杂度、步骤数量也在提升。)
分类
按刻蚀原理分类
干法刻蚀
离子束溅射刻蚀IBE
等离子刻蚀Plasma
反应离子刻蚀RIE
电容耦合等离子体刻蚀CCP
能量高、精度低,用于介质材料刻蚀(形成上层线路)【氧化物 氮化物 有机掩膜】
电感耦合等离子体刻蚀ICP
能量低、精度高,主要用于硅刻蚀和金属刻蚀(形成底层器件)【单晶硅 多晶硅】
原子层刻蚀ALE
未来技术发展方向,能精确刻蚀到原子层(约 0.4nm),具有超高刻蚀选择率
应用最广泛的刻蚀设备是 ICP 与 CCP,技术发展方向是原子层刻蚀( ALE)
湿法刻蚀
按刻蚀材料分类
介质刻蚀39%
导体刻蚀61%
硅刻蚀
单晶硅刻蚀
多晶硅刻蚀
金属刻蚀(少)
掺杂
为什么要掺杂:
由于本征硅(即不含杂质的硅单晶)的导电性能很差,只有当硅中加入适量杂质使其结构和电学性能发生改变后才起到半导体的功能,这个过程被称为掺杂。
掺杂改变晶圆片的电学性能
离子注入效果决定了芯片内部结构中器件的最基本、最核心性能。
离子注入(最重要的掺杂方法)
市场规模
2020 3% 18亿美元
理论及特性
将高压离子轰击把杂质引入硅片,杂质与硅片发生原子级高能碰撞后才能被注入。
低能离子注入机在先进制程芯片底层工艺中应用较多
精确可控性使得离子注入技术成为最重要的掺杂方法。
集成电路(IC)离子注入机市场集中度高,因IC的离子注入技术壁垒高
所有的注入工艺都是在高真空下进行的。该过程对于设备稳定性和精度的要求极高,因而离子注入机与薄膜沉积设备、光刻设备、刻蚀设备同列为四大集成电路制造关键制程设备。
每 1 万片/月产能的 8 英寸成熟制程逻辑产线平均需要离子注入设备 3.4 台,12 英寸成熟制程逻辑产线平均需要离子注入设备 13 台,12 英寸先进制程逻辑产线平均需要离子注入设备 9 台。
影响因素
剂量:注入硅片表面单位面积的离子数,电流增大时单位离子数量增大
射程:离子穿入硅片内的总距离,与注入离子的能量和质量有关
注入角度: 角度控制也影响到离子注入的射程。
设备分类
低能大束流离子注入机 60%
高能离子注入机 18%
中低束流离子注入机 20%
氧注入机
氢离子注入机
全球竞争格局
AMAT(应用材料) 70%市占 绝对龙头
主要产品包括大束流离子注入机、中束流离子注入机、超高剂量的离子注入。
美国 Axcelis(亚舍立科技设计公司) 19%
主要产品高能离子注入机市占率 55%。 2020 年 Axcelis 销售额 4.75 亿美元,净利润 0.50 亿美元。
日本 Nissin
主要生产中束流离子注入机,在中束流离子注入机的市占率 10%左右,曾在我国的固安 OLED 项目、合肥晶合 12 寸项目上中标离子注入机。
日本 SEN
产品包括高束流离子注入机、中束流离子注入机、高能量离子注入机,其中中束流离子注入机、高能量离子注入的收入占比略高, 但在中国大陆地区的市占率相对较低。
国内竞争格局
AMAT(应用材料)70%左右市占 绝对龙头
美国 Axcelis(亚舍立科技设计公司)
日本住友电工Sumitomo
AIBT
国内离子注入机也基本上被应用材料、 Axcelis 和日本 Sumitomo 垄断,仅有万业企业旗下的凯世通、中科信在某些 12 寸晶圆产线上获得工艺验证验证并验收通过。
国内企业
万业企业(凯世通)
2018 年万业企业收购凯世通
2020 年 12 月获得 3 台离子注入机订单, 分别为低能大束流重金属离子注入机(Sb implanter)、低能大束流超低温离子注入机(Cold implanter)、 高能离子注入机(HE implanter),订单金额 1 亿元(含税)。
FinFET 全称为鳍式场效应晶体管,是一种更为有效的晶体管结构,能够解决漏电流问题,因而在先进制程的方案选择中优势明显。而全环绕栅极晶体管(GAA)为在漏电问题上更具优势的晶体管结构,被认为是鳍式结构的下一任接任者,在 3-5nm 的制程节点必将取代 FinFET。
中电科集团(中科信)
已形成中束流、 大束流、 高能、 特种应用及第三代半导体等全系列离子注入机产品体系, 拥有博士后科研工作站, 建立了符合 SEMI 标准要求的离子注入机产业化平台, 年产能达 30 台, 产品广泛应用于全球知名芯片制造企业, 并获客户高度认可
热扩散
利用高温驱动杂质穿过硅的晶格结构,掺杂效果受时间和温度的影响
同热处理的扩散
去胶
去胶即为刻蚀或离子注入完成之后去除残余光刻胶的过程。去胶工艺类似于刻蚀,只是去胶的操作对象是光刻胶,而刻蚀的操作对象是晶圆介质材料。
分类
湿法去胶
湿法去胶是将带有光刻胶的晶圆片浸泡在适当的有机溶剂中溶解或者分解光刻胶,将晶圆表面的光刻胶去除。
干法去胶【主流工艺】
去胶机通过氧原子和光刻胶在等离子体环境中发生反应来去除光刻胶。
目前,半导体制造厂家通常是湿法去胶和干法去胶两种去胶方式一起使用,湿法去胶作为干法去胶的有益补充。
市场规模
2020年 市场规模5.38亿美元
市场格局
屹唐半导体 31.3%
国内市场90%份额
公司的 RTP 快速退火和干法刻蚀设备也具备相当的技术实力,尤其是 RTP 设备
屹唐半导体的技术主体是 2016 年收购的美国公司 Mattson Technology(MTSN.O), 该收购也是中国资本的第一次成功的跨境收购半导体设备公司。
比思科 25.9%
日立高新 19.2%
泛林半导体 拉姆研究 11.9%
TES泰仕 5.3%
ULVAC爱发科 4.0%
北方华创 1.7%
其他设备
电镀设备
国内厂商
盛美上海
耗材:电镀液
电镀液作为芯片封装环节中的必备材料之一,其种类也日渐多样,包括铜电镀液、银电镀液、金电镀液、锡电镀液、镍电镀液以及其他类型电镀液,在多种类型的电镀液中,铜电镀液市场占比最高,占比达到60%以上,且未来五年仍将保持这一态势。
市场规模
按收入计,2021年全球半导体封装电镀液收入大约2.2亿美元
我国半导体封装用电镀液市场发展迅速,2021年市场规模约为0.8亿美元,约占全球市场的36.4%
【材料】
湿电子化学品/高纯化学试剂
上海新阳
中芯国际 海力士 华力微电子 台积电合格供应商
江化微
超净高纯试剂 光刻胶 光刻胶配套试剂等专用湿电子化学品
晶瑞股份
超净高纯试剂 光刻胶 功能性材料 公司提纯技术在国内外同行中处于前列位置
巨化股份
光华科技
电子气体
分类(不同应用途径)
掺杂用气体
外延用气体
离子注入气
发光二极管用气
刻蚀用气体
化学气相沉积气
平衡气
主要公司
华特气体
公司积累了中芯国际 华虹宏力 长江存储 华润微电子 台积电等众多客户 进入英特尔 美光科技 海力士等半导体企业供应链
雅克科技
下游客户 海力士 三星 台积电
南大光电
其他材料
菲利华
石英纤维 通过TEL LAM AMAT认证的石英材料及石英纤维制造企业 首家具备G8.5代大尺寸光掩模板基材的国内企业
神工股份
半导体级单晶硅材料供应商
后道工序
圆晶研磨切割
耗材
划片刀
划片液
装片
引脚线
封装
耗材
树脂
铜箔
模塑成型
测试
与晶圆制造材料相比,集成电路封装材料的门槛相对较低,我国已基本实现国产替代。
集成电路封装材料
芯片粘结材料
封装基板
引线框架
陶瓷基板
缝合线
包封材料
封装分类
传统封装 55%
传统封测属于劳动密集型行业,封测价格较低,先进封装技术难度更高,价格也更高。以长电科技为例,先进封装均价是传统封装均价10倍以上,且差距持续扩大。
先进封装 45%
2020年先进封装全球市场规模304亿美元,占比45%
先进封装两大方向
单芯片系统( SoC,System on Chip)
从设计和晶圆制造角度出发,将系统所需的组件和功能集成到一枚芯片上
系统级封装(SiP, System in Package)
从封装角度出发,将不同功能的芯片和元器件组装到一个封装体内
市场规模
全球封测市场规模从2011年的455亿美元增长至2020年的594亿美元,其间年均复合增长率(CAGR)为3.0%。
2020年先进封装全球市场规模304亿美元,占比45%
中国封测市场规模由2011年的975.7亿元增长至2020年的2509.5亿元
2020年中国先进封装产值达903亿元,先进封装占比持续提升,达到36%
封测全球市占率
日月光 27%
台湾
安靠 13.5%
美国
长电科技 10.82%
江苏
力成 6.61%
台湾
通富微电 5.08%
南通
华天科技 4.18%
甘肃
智路封测 3.2%
新加坡
京元电子 2.72%
台湾
南茂 2.21%
台湾
顾邦 2.18%
台湾
封测行业“四小龙”
长电科技
通富微电
华天科技
晶方科技
2021圆晶制造设备价值量
刻蚀设备
21.59%
薄膜沉积设备
19.19%
光刻设备
18.52